Timing Messages

Report Title Timing Analysis Report
Design File E:\myWork\IP\releaseVerify\version\1.9.11\Advanced_FIR_Filter_RefDesign\3_fir_decimator\33taps_8chn_4tdm_4factor_16bit\proj\impl\gwsynthesis\fir_proj.vg
Physical Constraints File E:\myWork\IP\releaseVerify\version\1.9.11\Advanced_FIR_Filter_RefDesign\3_fir_decimator\33taps_8chn_4tdm_4factor_16bit\proj\src\fir_proj.cst
Timing Constraint File E:\myWork\IP\releaseVerify\version\1.9.11\Advanced_FIR_Filter_RefDesign\3_fir_decimator\33taps_8chn_4tdm_4factor_16bit\proj\src\fir_proj.sdc
Tool Version V1.9.11 (64-bit)
Part Number GW5A-LV25UG324ES
Device GW5A-25
Device Version A
Created Time Wed Dec 25 10:38:50 2024
Legal Announcement Copyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.

Timing Summaries

STA Tool Run Summary:

Setup Delay Model Slow 0.855V 0C ES
Hold Delay Model Fast 0.945V 85C ES
Numbers of Paths Analyzed 10509
Numbers of Endpoints Analyzed 11357
Numbers of Falling Endpoints 3
Numbers of Setup Violated Endpoints 0
Numbers of Hold Violated Endpoints 0

Clock Summary:

NO. Clock Name Type Period Frequency(MHz) Rise Fall Source Master Objects
1 clk Base 10.000 100.000 0.000 5.000 clk
2 tck_pad_i Base 50.000 20.000 0.000 25.000 tck_pad_i

Max Frequency Summary:

NO. Clock Name Constraint Actual Fmax Logic Level Entity
1 clk 100.000(MHz) 143.975(MHz) 8 TOP
2 tck_pad_i 20.000(MHz) 159.481(MHz) 5 TOP

Total Negative Slack Summary:

Clock Name Analysis Type Endpoints TNS Number of Endpoints
clk Setup 0.000 0
clk Hold 0.000 0
tck_pad_i Setup 0.000 0
tck_pad_i Hold 0.000 0

Timing Details

Path Slacks Table:

Setup Paths Table

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

Path Number Path Slack From Node To Node From Clock To Clock Relation Clock Skew Data Delay
1 3.054 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_35_s1/D clk:[R] clk:[R] 10.000 -0.061 6.943
2 3.054 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_35_s1/D clk:[R] clk:[R] 10.000 -0.061 6.943
3 3.234 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_28_s1/D clk:[R] clk:[R] 10.000 -0.061 6.763
4 3.245 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_31_s1/D clk:[R] clk:[R] 10.000 -0.051 6.743
5 3.245 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_31_s1/D clk:[R] clk:[R] 10.000 -0.051 6.743
6 3.286 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_0_s0/CE clk:[R] clk:[R] 10.000 0.024 6.379
7 3.372 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_28_s1/D clk:[R] clk:[R] 10.000 -0.061 6.625
8 3.392 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_33_s1/D clk:[R] clk:[R] 10.000 -0.049 6.593
9 3.392 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_33_s1/D clk:[R] clk:[R] 10.000 -0.049 6.593
10 3.405 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_3_s0/CE clk:[R] clk:[R] 10.000 0.027 6.256
11 3.426 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_32_s1/D clk:[R] clk:[R] 10.000 -0.061 6.571
12 3.442 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_34_s1/D clk:[R] clk:[R] 10.000 -0.051 6.545
13 3.442 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_34_s1/D clk:[R] clk:[R] 10.000 -0.051 6.545
14 3.467 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_3_s0/CE clk:[R] clk:[R] 10.000 0.036 6.186
15 3.470 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_1_s0/CE clk:[R] clk:[R] 10.000 0.030 6.189
16 3.481 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_26_s1/D clk:[R] clk:[R] 10.000 -0.049 6.505
17 3.518 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/mem[4]_9_s0/CE clk:[R] clk:[R] 10.000 -0.036 6.206
18 3.561 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_32_s1/D clk:[R] clk:[R] 10.000 -0.061 6.436
19 3.576 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_25_s1/D clk:[R] clk:[R] 10.000 -0.047 6.408
20 3.582 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_5_s0/CE clk:[R] clk:[R] 10.000 0.039 6.067
21 3.594 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_1_s0/CE clk:[R] clk:[R] 10.000 0.027 6.067
22 3.595 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_1_s0/CE clk:[R] clk:[R] 10.000 0.027 6.066
23 3.613 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_26_s1/D clk:[R] clk:[R] 10.000 -0.047 6.370
24 3.650 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_30_s1/D clk:[R] clk:[R] 10.000 -0.051 6.338
25 3.650 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_30_s1/D clk:[R] clk:[R] 10.000 -0.051 6.338

Hold Paths Table

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

Path Number Path Slack From Node To Node From Clock To Clock Relation Clock Skew Data Delay
1 0.212 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_12_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[12] clk:[R] clk:[R] 0.000 -0.009 0.258
2 0.216 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_19_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[3] clk:[R] clk:[R] 0.000 -0.005 0.258
3 0.216 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_18_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[2] clk:[R] clk:[R] 0.000 -0.005 0.258
4 0.216 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_16_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[0] clk:[R] clk:[R] 0.000 -0.005 0.258
5 0.249 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_14_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[14] clk:[R] clk:[R] 0.000 -0.013 0.299
6 0.249 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_13_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[13] clk:[R] clk:[R] 0.000 -0.013 0.299
7 0.253 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_5_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[5] clk:[R] clk:[R] 0.000 -0.009 0.299
8 0.257 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_17_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[1] clk:[R] clk:[R] 0.000 -0.005 0.299
9 0.261 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_20_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[4] clk:[R] clk:[R] 0.000 -0.001 0.299
10 0.268 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/ADA[9] clk:[R] clk:[R] 0.000 0.015 0.290
11 0.270 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/ADA[13] clk:[R] clk:[R] 0.000 0.019 0.288
12 0.275 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/D clk:[R] clk:[R] 0.000 0.000 0.300
13 0.275 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
14 0.275 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
15 0.275 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
16 0.275 Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/Q Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
17 0.275 gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
18 0.275 gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/Q gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
19 0.275 gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/Q gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/D clk:[R] clk:[R] 0.000 0.000 0.300
20 0.275 gw_gao_inst_0/u_la0_top/address_counter_4_s0/Q gw_gao_inst_0/u_la0_top/address_counter_4_s0/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 0.300
21 0.278 gw_gao_inst_0/u_la0_top/bit_count_4_s1/Q gw_gao_inst_0/u_la0_top/bit_count_4_s1/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 0.303
22 0.278 gw_gao_inst_0/u_la0_top/address_counter_7_s0/Q gw_gao_inst_0/u_la0_top/address_counter_7_s0/D tck_pad_i:[R] tck_pad_i:[R] 0.000 0.000 0.303
23 0.278 sim_output_storage_inst/ram_dout_addrb_1_s3/Q sim_output_storage_inst/ram_dout_addrb_1_s3/D clk:[R] clk:[R] 0.000 0.000 0.303
24 0.278 sim_output_storage_inst/ram_dout_addrb_7_s3/Q sim_output_storage_inst/ram_dout_addrb_7_s3/D clk:[R] clk:[R] 0.000 0.000 0.303
25 0.278 sim_output_storage_inst/cnt_chn_0_s0/Q sim_output_storage_inst/cnt_chn_0_s0/D clk:[R] clk:[R] 0.000 0.000 0.303

Recovery Paths Table

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

Path Number Path Slack From Node To Node From Clock To Clock Relation Clock Skew Data Delay
1 2.877 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR clk:[F] clk:[R] 5.000 0.025 1.751
2 2.878 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_0_s1/CLEAR clk:[F] clk:[R] 5.000 0.015 1.759
3 2.878 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_1_s1/CLEAR clk:[F] clk:[R] 5.000 0.015 1.759
4 2.878 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR clk:[F] clk:[R] 5.000 0.015 1.759
5 2.878 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_6_s1/CLEAR clk:[F] clk:[R] 5.000 0.015 1.759
6 2.885 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_8_s1/CLEAR clk:[F] clk:[R] 5.000 0.008 1.759
7 2.885 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_2_s1/CLEAR clk:[F] clk:[R] 5.000 0.008 1.759
8 2.885 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_1_s1/CLEAR clk:[F] clk:[R] 5.000 0.008 1.759
9 2.900 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_7_s1/CLEAR clk:[F] clk:[R] 5.000 0.006 1.747
10 2.900 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR clk:[F] clk:[R] 5.000 0.006 1.747
11 2.903 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_9_s1/CLEAR clk:[F] clk:[R] 5.000 -0.002 1.751
12 3.066 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR clk:[F] clk:[R] 5.000 0.020 1.567
13 3.066 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR clk:[F] clk:[R] 5.000 0.020 1.567
14 3.066 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_end_dly_s0/PRESET clk:[F] clk:[R] 5.000 0.020 1.567
15 3.075 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/CLEAR clk:[F] clk:[R] 5.000 0.010 1.567
16 3.075 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_4_s1/CLEAR clk:[F] clk:[R] 5.000 0.010 1.567
17 3.075 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_5_s1/CLEAR clk:[F] clk:[R] 5.000 0.010 1.567
18 3.075 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_6_s1/CLEAR clk:[F] clk:[R] 5.000 0.010 1.567
19 3.075 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_7_s1/CLEAR clk:[F] clk:[R] 5.000 0.010 1.567
20 3.081 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_4_s1/CLEAR clk:[F] clk:[R] 5.000 0.018 1.554
21 3.081 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR clk:[F] clk:[R] 5.000 0.018 1.554
22 3.085 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_3_s1/PRESET clk:[F] clk:[R] 5.000 0.001 1.567
23 3.085 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR clk:[F] clk:[R] 5.000 0.001 1.567
24 3.090 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/CLEAR clk:[F] clk:[R] 5.000 0.008 1.554
25 3.346 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_match_0/trig_dly_in_0_s0/CLEAR clk:[F] clk:[R] 5.000 0.054 1.253

Removal Paths Table

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

Path Number Path Slack From Node To Node From Clock To Clock Relation Clock Skew Data Delay
1 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_0_s3/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
2 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_1_s1/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
3 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_2_s1/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
4 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_3_s1/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
5 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_7_s1/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
6 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_8_s1/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
7 5.436 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/start_reg_s0/CLEAR clk:[F] clk:[R] -5.000 0.009 0.374
8 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/triger_level_cnt_3_s1/CLEAR clk:[F] clk:[R] -5.000 0.011 0.374
9 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_start_dly_0_s0/CLEAR clk:[F] clk:[R] -5.000 0.011 0.374
10 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_start_syn_1_s0/CLEAR clk:[F] clk:[R] -5.000 0.011 0.374
11 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/trigger_seq_start_s1/CLEAR clk:[F] clk:[R] -5.000 0.013 0.372
12 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/triger_s0/CLEAR clk:[F] clk:[R] -5.000 0.013 0.372
13 5.438 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_start_dly_1_s0/CLEAR clk:[F] clk:[R] -5.000 0.013 0.372
14 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_4_s1/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
15 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_5_s1/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
16 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_6_s1/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
17 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
18 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_0_s0/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
19 5.440 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_1_s0/CLEAR clk:[F] clk:[R] -5.000 0.015 0.372
20 5.537 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_match_0/match_sep_s0/CLEAR clk:[F] clk:[R] -5.000 0.012 0.472
21 5.537 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/u_ao_match_0/match_bitwise_pre_reg_0_s0/CLEAR clk:[F] clk:[R] -5.000 0.012 0.472
22 5.638 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/internal_reg_start_syn_0_s0/CLEAR clk:[F] clk:[R] -5.000 0.007 0.578
23 5.644 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/triger_level_cnt_0_s3/CLEAR clk:[F] clk:[R] -5.000 0.011 0.580
24 5.644 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/triger_level_cnt_1_s1/CLEAR clk:[F] clk:[R] -5.000 0.011 0.580
25 5.644 gw_gao_inst_0/u_la0_top/rst_ao_s0/Q gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/CLEAR clk:[F] clk:[R] -5.000 0.011 0.580

Minimum Pulse Width Table:

Report Command:report_min_pulse_width -nworst 10 -detail

Number Slack Actual Width Required Width Type Clock Objects
1 2.751 3.751 1.000 Low Pulse Width clk gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
2 2.754 3.754 1.000 Low Pulse Width clk Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1
3 2.757 3.757 1.000 Low Pulse Width clk gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
4 2.757 3.757 1.000 Low Pulse Width clk sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s
5 2.757 3.757 1.000 Low Pulse Width clk Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/coeff_rom_inst/mem_mem_0_0_s
6 2.759 3.759 1.000 Low Pulse Width clk Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[1].mult_dsp_inst/n47_s1
7 2.762 3.762 1.000 High Pulse Width clk gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
8 2.762 3.762 1.000 Low Pulse Width clk sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s
9 2.762 3.762 1.000 High Pulse Width clk Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1
10 2.765 3.765 1.000 Low Pulse Width clk Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[0].mult_dsp_inst/n47_s1

Timing Report By Analysis Type:

Setup Analysis Report

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

Path1

Path Summary:

Slack 3.054
Data Arrival Time 9.529
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_35_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.646 0.050 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/COUT
7.646 0.000 tNET RR 2 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/CIN
7.696 0.050 tINS RR 1 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/COUT
7.696 0.000 tNET RR 2 R29C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1770_s/CIN
7.992 0.296 tINS RR 1 R29C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1770_s/SUM
8.149 0.157 tNET RR 1 R29C25[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1956_s0/I1
8.647 0.498 tINS RR 2 R29C25[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1956_s0/F
9.529 0.882 tNET RR 1 R24C25[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_35_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_35_s1/CLK
12.584 -0.064 tSu 1 R24C25[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_35_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.271, 47.119%; route: 3.289, 47.371%; tC2Q: 0.382, 5.510%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path2

Path Summary:

Slack 3.054
Data Arrival Time 9.529
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_35_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.646 0.050 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/COUT
7.646 0.000 tNET RR 2 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/CIN
7.696 0.050 tINS RR 1 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/COUT
7.696 0.000 tNET RR 2 R29C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1770_s/CIN
7.992 0.296 tINS RR 1 R29C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1770_s/SUM
8.149 0.157 tNET RR 1 R29C25[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1956_s0/I1
8.647 0.498 tINS RR 2 R29C25[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1956_s0/F
9.529 0.882 tNET RR 1 R24C25[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_35_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_35_s1/CLK
12.584 -0.064 tSu 1 R24C25[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_35_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.271, 47.119%; route: 3.289, 47.371%; tC2Q: 0.382, 5.510%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path3

Path Summary:

Slack 3.234
Data Arrival Time 9.349
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_28_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.589 0.244 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/SUM
7.948 0.359 tNET RR 1 R27C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1963_s0/I1
8.409 0.461 tINS RR 2 R27C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1963_s0/F
9.349 0.940 tNET RR 1 R24C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_28_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_28_s1/CLK
12.584 -0.064 tSu 1 R24C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_28_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.832, 41.885%; route: 3.548, 52.458%; tC2Q: 0.382, 5.656%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path4

Path Summary:

Slack 3.245
Data Arrival Time 9.329
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_31_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.792 0.296 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/SUM
7.949 0.157 tNET RR 1 R29C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1960_s0/I1
8.364 0.415 tINS RR 2 R29C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1960_s0/F
9.329 0.965 tNET RR 1 R24C26[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_31_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C26[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_31_s1/CLK
12.574 -0.064 tSu 1 R24C26[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_31_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.989, 44.327%; route: 3.371, 50.000%; tC2Q: 0.382, 5.673%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path5

Path Summary:

Slack 3.245
Data Arrival Time 9.329
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_31_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.792 0.296 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/SUM
7.949 0.157 tNET RR 1 R29C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1960_s0/I1
8.364 0.415 tINS RR 2 R29C25[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1960_s0/F
9.329 0.965 tNET RR 1 R24C26[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_31_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C26[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_31_s1/CLK
12.574 -0.064 tSu 1 R24C26[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_31_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.989, 44.327%; route: 3.371, 50.000%; tC2Q: 0.382, 5.673%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path6

Path Summary:

Slack 3.286
Data Arrival Time 9.014
Data Required Time 12.300
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_0_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.532 1.514 tNET RR 1 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/I1
5.048 0.516 tINS RR 8 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/F
6.043 0.995 tNET RR 1 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/I3
6.569 0.526 tINS RR 32 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/F
9.014 2.445 tNET RR 1 R14C33[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_0_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.612 1.929 tNET RR 1 R14C33[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_0_s0/CLK
12.300 -0.311 tSu 1 R14C33[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_0_s0

Path Statistics:

Clock Skew -0.024
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.043, 16.343%; route: 4.954, 77.660%; tC2Q: 0.382, 5.996%
Required Clock Path Delay cell: 0.683, 26.134%; route: 1.929, 73.866%

Path7

Path Summary:

Slack 3.372
Data Arrival Time 9.212
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_28_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.589 0.244 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/SUM
7.948 0.359 tNET RR 1 R27C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1963_s0/I1
8.409 0.461 tINS RR 2 R27C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1963_s0/F
9.212 0.803 tNET RR 1 R24C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_28_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_28_s1/CLK
12.584 -0.064 tSu 1 R24C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_28_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.832, 42.755%; route: 3.410, 51.472%; tC2Q: 0.382, 5.774%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path8

Path Summary:

Slack 3.392
Data Arrival Time 9.179
Data Required Time 12.572
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_33_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.892 0.296 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/SUM
8.061 0.169 tNET RR 1 R27C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1958_s0/I1
8.587 0.526 tINS RR 2 R27C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1958_s0/F
9.179 0.592 tNET RR 1 R25C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_33_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.636 1.953 tNET RR 1 R25C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_33_s1/CLK
12.572 -0.064 tSu 1 R25C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_33_s1

Path Statistics:

Clock Skew 0.049
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.200, 48.540%; route: 3.010, 45.658%; tC2Q: 0.382, 5.802%
Required Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%

Path9

Path Summary:

Slack 3.392
Data Arrival Time 9.179
Data Required Time 12.572
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_33_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.892 0.296 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/SUM
8.061 0.169 tNET RR 1 R27C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1958_s0/I1
8.587 0.526 tINS RR 2 R27C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1958_s0/F
9.179 0.592 tNET RR 1 R25C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_33_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.636 1.953 tNET RR 1 R25C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_33_s1/CLK
12.572 -0.064 tSu 1 R25C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_33_s1

Path Statistics:

Clock Skew 0.049
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.200, 48.540%; route: 3.010, 45.658%; tC2Q: 0.382, 5.802%
Required Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%

Path10

Path Summary:

Slack 3.405
Data Arrival Time 8.892
Data Required Time 12.297
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_3_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.342 1.324 tNET RR 1 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/I1
4.858 0.516 tINS RR 8 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/F
5.909 1.051 tNET RR 1 R5C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n739_s1/I3
6.426 0.516 tINS RR 32 R5C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n739_s1/F
8.892 2.466 tNET RR 1 R15C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_3_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.608 1.926 tNET RR 1 R15C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_3_s0/CLK
12.297 -0.311 tSu 1 R15C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_3_s0

Path Statistics:

Clock Skew -0.027
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.033, 16.503%; route: 4.841, 77.383%; tC2Q: 0.382, 6.114%
Required Clock Path Delay cell: 0.683, 26.168%; route: 1.926, 73.832%

Path11

Path Summary:

Slack 3.426
Data Arrival Time 9.158
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_32_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.789 0.244 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/SUM
7.958 0.169 tNET RR 1 R27C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1959_s0/I1
8.221 0.262 tINS RR 2 R27C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1959_s0/F
9.158 0.938 tNET RR 1 R24C25[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_32_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_32_s1/CLK
12.584 -0.064 tSu 1 R24C25[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_32_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.834, 43.123%; route: 3.355, 51.056%; tC2Q: 0.382, 5.821%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path12

Path Summary:

Slack 3.442
Data Arrival Time 9.132
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_34_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.646 0.050 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/COUT
7.646 0.000 tNET RR 2 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/CIN
7.889 0.244 tINS RR 1 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/SUM
8.058 0.169 tNET RR 1 R27C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1957_s0/I1
8.519 0.461 tINS RR 2 R27C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1957_s0/F
9.132 0.612 tNET RR 1 R24C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_34_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_34_s1/CLK
12.574 -0.064 tSu 1 R24C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_34_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.132, 47.861%; route: 3.030, 46.295%; tC2Q: 0.382, 5.844%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path13

Path Summary:

Slack 3.442
Data Arrival Time 9.132
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_34_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.596 0.050 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/COUT
7.596 0.000 tNET RR 2 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/CIN
7.646 0.050 tINS RR 1 R29C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1772_s/COUT
7.646 0.000 tNET RR 2 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/CIN
7.889 0.244 tINS RR 1 R29C24[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1771_s/SUM
8.058 0.169 tNET RR 1 R27C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1957_s0/I1
8.519 0.461 tINS RR 2 R27C24[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1957_s0/F
9.132 0.612 tNET RR 1 R24C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_34_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_34_s1/CLK
12.574 -0.064 tSu 1 R24C24[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_34_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 3.132, 47.861%; route: 3.030, 46.295%; tC2Q: 0.382, 5.844%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path14

Path Summary:

Slack 3.467
Data Arrival Time 8.822
Data Required Time 12.288
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_3_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.532 1.514 tNET RR 1 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/I1
5.048 0.516 tINS RR 8 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/F
6.043 0.995 tNET RR 1 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/I3
6.569 0.526 tINS RR 32 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/F
8.822 2.253 tNET RR 1 R12C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_3_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.600 1.917 tNET RR 1 R12C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_3_s0/CLK
12.288 -0.311 tSu 1 R12C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_3_s0

Path Statistics:

Clock Skew -0.036
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.043, 16.852%; route: 4.761, 76.965%; tC2Q: 0.382, 6.183%
Required Clock Path Delay cell: 0.683, 26.253%; route: 1.917, 73.747%

Path15

Path Summary:

Slack 3.470
Data Arrival Time 8.824
Data Required Time 12.294
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_1_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.532 1.514 tNET RR 1 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/I1
5.048 0.516 tINS RR 8 R8C35[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n660_s1/F
6.043 0.995 tNET RR 1 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/I3
6.569 0.526 tINS RR 32 R4C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[7].spram_shift_inst/n755_s1/F
8.824 2.255 tNET RR 1 R13C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_1_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.606 1.923 tNET RR 1 R13C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_1_s0/CLK
12.294 -0.311 tSu 1 R13C33[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[8].spram_shift_inst/mem[6]_1_s0

Path Statistics:

Clock Skew -0.030
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.043, 16.845%; route: 4.764, 76.974%; tC2Q: 0.382, 6.181%
Required Clock Path Delay cell: 0.683, 26.193%; route: 1.923, 73.807%

Path16

Path Summary:

Slack 3.481
Data Arrival Time 9.092
Data Required Time 12.572
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_26_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.489 0.244 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/SUM
7.658 0.169 tNET RR 1 R27C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1965_s0/I1
8.119 0.461 tINS RR 2 R27C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1965_s0/F
9.092 0.972 tNET RR 1 R21C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_26_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.636 1.954 tNET RR 1 R21C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_26_s1/CLK
12.572 -0.064 tSu 1 R21C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_26_s1

Path Statistics:

Clock Skew 0.049
Setup Relationship 10.000
Logic Level 7
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.732, 42.006%; route: 3.390, 52.114%; tC2Q: 0.382, 5.880%
Required Clock Path Delay cell: 0.683, 25.889%; route: 1.954, 74.111%

Path17

Path Summary:

Slack 3.518
Data Arrival Time 8.808
Data Required Time 12.327
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/mem[4]_9_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.602 1.920 tNET RR 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/CLK
2.985 0.382 tC2Q RR 33 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/Q
6.907 3.923 tNET RR 1 R4C51[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/n723_s1/I2
7.368 0.461 tINS RR 16 R4C51[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/n723_s1/F
8.808 1.440 tNET RR 1 R6C44[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/mem[4]_9_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R6C44[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/mem[4]_9_s0/CLK
12.327 -0.311 tSu 1 R6C44[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[9].spram_shift_inst/mem[4]_9_s0

Path Statistics:

Clock Skew 0.036
Setup Relationship 10.000
Logic Level 2
Arrival Clock Path Delay cell: 0.683, 26.228%; route: 1.920, 73.772%
Arrival Data Path Delay cell: 0.461, 7.432%; route: 5.363, 86.405%; tC2Q: 0.382, 6.163%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path18

Path Summary:

Slack 3.561
Data Arrival Time 9.023
Data Required Time 12.584
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_32_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.496 0.050 tINS RR 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/COUT
7.496 0.000 tNET RR 2 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/CIN
7.546 0.050 tINS RR 1 R29C24[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1774_s/COUT
7.546 0.000 tNET RR 2 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/CIN
7.789 0.244 tINS RR 1 R29C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1773_s/SUM
7.958 0.169 tNET RR 1 R27C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1959_s0/I1
8.221 0.262 tINS RR 2 R27C24[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1959_s0/F
9.023 0.803 tNET RR 1 R24C25[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_32_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R24C25[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_32_s1/CLK
12.584 -0.064 tSu 1 R24C25[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_32_s1

Path Statistics:

Clock Skew 0.061
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.834, 44.028%; route: 3.220, 50.029%; tC2Q: 0.382, 5.943%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path19

Path Summary:

Slack 3.576
Data Arrival Time 8.994
Data Required Time 12.570
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_25_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.492 0.296 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/SUM
7.661 0.169 tNET RR 1 R27C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1966_s0/I1
8.177 0.516 tINS RR 2 R27C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1966_s0/F
8.994 0.817 tNET RR 1 R22C23[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_25_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.634 1.951 tNET RR 1 R22C23[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_25_s1/CLK
12.570 -0.064 tSu 1 R22C23[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_25_s1

Path Statistics:

Clock Skew 0.047
Setup Relationship 10.000
Logic Level 7
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.790, 43.543%; route: 3.235, 50.488%; tC2Q: 0.382, 5.970%
Required Clock Path Delay cell: 0.683, 25.914%; route: 1.951, 74.086%

Path20

Path Summary:

Slack 3.582
Data Arrival Time 8.703
Data Required Time 12.285
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_5_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.342 1.324 tNET RR 1 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/I1
4.858 0.516 tINS RR 8 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/F
5.717 0.859 tNET RR 1 R5C26[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s2/I3
6.214 0.498 tINS RR 32 R5C26[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s2/F
8.703 2.489 tNET RR 1 R13C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_5_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.596 1.914 tNET RR 1 R13C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_5_s0/CLK
12.285 -0.311 tSu 1 R13C32[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_5_s0

Path Statistics:

Clock Skew -0.039
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.014, 16.708%; route: 4.671, 76.988%; tC2Q: 0.382, 6.304%
Required Clock Path Delay cell: 0.683, 26.288%; route: 1.914, 73.712%

Path21

Path Summary:

Slack 3.594
Data Arrival Time 8.703
Data Required Time 12.297
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_1_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.342 1.324 tNET RR 1 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/I1
4.858 0.516 tINS RR 8 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/F
5.717 0.859 tNET RR 1 R5C26[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s2/I3
6.214 0.498 tINS RR 32 R5C26[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s2/F
8.703 2.489 tNET RR 1 R15C32[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_1_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.608 1.926 tNET RR 1 R15C32[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_1_s0/CLK
12.297 -0.311 tSu 1 R15C32[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[0]_1_s0

Path Statistics:

Clock Skew -0.027
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.014, 16.708%; route: 4.671, 76.988%; tC2Q: 0.382, 6.304%
Required Clock Path Delay cell: 0.683, 26.168%; route: 1.926, 73.832%

Path22

Path Summary:

Slack 3.595
Data Arrival Time 8.702
Data Required Time 12.297
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_1_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.636 1.953 tNET RR 1 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/CLK
3.018 0.382 tC2Q RR 4 R25C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_d3_2_s0/Q
4.342 1.324 tNET RR 1 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/I1
4.858 0.516 tINS RR 8 R8C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n660_s1/F
5.909 1.051 tNET RR 1 R5C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n739_s1/I3
6.426 0.516 tINS RR 32 R5C25[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[4].spram_shift_inst/n739_s1/F
8.702 2.276 tNET RR 1 R15C30[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_1_s0/CE

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.608 1.926 tNET RR 1 R15C30[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_1_s0/CLK
12.297 -0.311 tSu 1 R15C30[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_spram_shift[5].spram_shift_inst/mem[5]_1_s0

Path Statistics:

Clock Skew -0.027
Setup Relationship 10.000
Logic Level 3
Arrival Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%
Arrival Data Path Delay cell: 1.033, 17.020%; route: 4.651, 76.674%; tC2Q: 0.382, 6.305%
Required Clock Path Delay cell: 0.683, 26.168%; route: 1.926, 73.832%

Path23

Path Summary:

Slack 3.613
Data Arrival Time 8.957
Data Required Time 12.570
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_26_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.489 0.244 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/SUM
7.658 0.169 tNET RR 1 R27C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1965_s0/I1
8.119 0.461 tINS RR 2 R27C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1965_s0/F
8.957 0.837 tNET RR 1 R22C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_26_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.634 1.951 tNET RR 1 R22C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_26_s1/CLK
12.570 -0.064 tSu 1 R22C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_26_s1

Path Statistics:

Clock Skew 0.047
Setup Relationship 10.000
Logic Level 7
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.732, 42.896%; route: 3.255, 51.099%; tC2Q: 0.382, 6.005%
Required Clock Path Delay cell: 0.683, 25.914%; route: 1.951, 74.086%

Path24

Path Summary:

Slack 3.650
Data Arrival Time 8.924
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_30_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.689 0.244 tINS RF 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/SUM
7.694 0.005 tNET FF 1 R29C24[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1961_s0/I1
7.959 0.265 tINS FR 2 R29C24[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1961_s0/F
8.924 0.965 tNET RR 1 R24C26[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_30_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C26[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_30_s1/CLK
12.574 -0.064 tSu 1 R24C26[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[0]_30_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.736, 43.176%; route: 3.219, 50.789%; tC2Q: 0.382, 6.036%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path25

Path Summary:

Slack 3.650
Data Arrival Time 8.924
Data Required Time 12.574
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_30_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.683 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
2.587 1.904 tNET RR 1 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/CLK
2.969 0.382 tC2Q RR 42 R31C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_acc_chn_0_s2/Q
4.372 1.403 tNET RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/I2
4.637 0.265 tINS RR 1 R24C23[3][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1766_s0/F
5.483 0.846 tNET RR 2 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/I0
6.046 0.563 tINS RF 1 R29C19[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1804_s/COUT
6.046 0.000 tNET FF 2 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/CIN
6.096 0.050 tINS FR 1 R29C19[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1803_s/COUT
6.096 0.000 tNET RR 2 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/CIN
6.146 0.050 tINS RR 1 R29C19[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1802_s/COUT
6.146 0.000 tNET RR 2 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/CIN
6.196 0.050 tINS RR 1 R29C19[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1801_s/COUT
6.196 0.000 tNET RR 2 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/CIN
6.246 0.050 tINS RR 1 R29C19[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1800_s/COUT
6.246 0.000 tNET RR 2 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/CIN
6.296 0.050 tINS RR 1 R29C20[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1799_s/COUT
6.296 0.000 tNET RR 2 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/CIN
6.346 0.050 tINS RR 1 R29C20[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1798_s/COUT
6.346 0.000 tNET RR 2 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/CIN
6.396 0.050 tINS RR 1 R29C20[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1797_s/COUT
6.396 0.000 tNET RR 2 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/CIN
6.446 0.050 tINS RR 1 R29C20[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1796_s/COUT
6.446 0.000 tNET RR 2 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/CIN
6.496 0.050 tINS RR 1 R29C20[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1795_s/COUT
6.496 0.000 tNET RR 2 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/CIN
6.546 0.050 tINS RR 1 R29C20[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1794_s/COUT
6.546 0.000 tNET RR 2 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/CIN
6.596 0.050 tINS RR 1 R29C21[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1793_s/COUT
6.596 0.000 tNET RR 2 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/CIN
6.646 0.050 tINS RR 1 R29C21[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1792_s/COUT
6.646 0.000 tNET RR 2 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/CIN
6.696 0.050 tINS RR 1 R29C21[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1791_s/COUT
6.696 0.000 tNET RR 2 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/CIN
6.746 0.050 tINS RR 1 R29C21[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1790_s/COUT
6.746 0.000 tNET RR 2 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/CIN
6.796 0.050 tINS RR 1 R29C21[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1789_s/COUT
6.796 0.000 tNET RR 2 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/CIN
6.846 0.050 tINS RR 1 R29C21[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1788_s/COUT
6.846 0.000 tNET RR 2 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/CIN
6.896 0.050 tINS RR 1 R29C22[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1787_s/COUT
6.896 0.000 tNET RR 2 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/CIN
6.946 0.050 tINS RR 1 R29C22[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1786_s/COUT
6.946 0.000 tNET RR 2 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/CIN
6.996 0.050 tINS RR 1 R29C22[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1785_s/COUT
6.996 0.000 tNET RR 2 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/CIN
7.046 0.050 tINS RR 1 R29C22[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1784_s/COUT
7.046 0.000 tNET RR 2 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/CIN
7.096 0.050 tINS RR 1 R29C22[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1783_s/COUT
7.096 0.000 tNET RR 2 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/CIN
7.146 0.050 tINS RR 1 R29C22[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1782_s/COUT
7.146 0.000 tNET RR 2 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/CIN
7.196 0.050 tINS RR 1 R29C23[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1781_s/COUT
7.196 0.000 tNET RR 2 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/CIN
7.246 0.050 tINS RR 1 R29C23[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1780_s/COUT
7.246 0.000 tNET RR 2 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/CIN
7.296 0.050 tINS RR 1 R29C23[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1779_s/COUT
7.296 0.000 tNET RR 2 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/CIN
7.346 0.050 tINS RR 1 R29C23[1][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1778_s/COUT
7.346 0.000 tNET RR 2 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/CIN
7.396 0.050 tINS RR 1 R29C23[2][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1777_s/COUT
7.396 0.000 tNET RR 2 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/CIN
7.446 0.050 tINS RR 1 R29C23[2][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1776_s/COUT
7.446 0.000 tNET RR 2 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/CIN
7.689 0.244 tINS RF 1 R29C24[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1775_s/SUM
7.694 0.005 tNET FF 1 R29C24[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1961_s0/I1
7.959 0.265 tINS FR 2 R29C24[3][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n1961_s0/F
8.924 0.965 tNET RR 1 R24C26[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_30_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R24C26[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_30_s1/CLK
12.574 -0.064 tSu 1 R24C26[0][B] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/fir_acc_out[1]_30_s1

Path Statistics:

Clock Skew 0.051
Setup Relationship 10.000
Logic Level 8
Arrival Clock Path Delay cell: 0.683, 26.383%; route: 1.904, 73.617%
Arrival Data Path Delay cell: 2.736, 43.176%; route: 3.219, 50.789%; tC2Q: 0.382, 6.036%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Hold Analysis Report

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

Path1

Path Summary:

Slack 0.212
Data Arrival Time 1.627
Data Required Time 1.415
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_12_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C18[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_12_s0/CLK
1.510 0.141 tC2Q RF 1 R11C18[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_12_s0/Q
1.627 0.117 tNET FF 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[12]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.703 tNET RR 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA
1.415 0.037 tHld 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Path Statistics:

Clock Skew 0.009
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.117, 45.349%; tC2Q: 0.141, 54.651%
Required Clock Path Delay cell: 0.675, 49.003%; route: 0.703, 50.997%

Path2

Path Summary:

Slack 0.216
Data Arrival Time 1.627
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_19_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C20[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_19_s0/CLK
1.510 0.141 tC2Q RF 1 R11C20[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_19_s0/Q
1.627 0.117 tNET FF 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[3]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew 0.005
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.117, 45.349%; tC2Q: 0.141, 54.651%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path3

Path Summary:

Slack 0.216
Data Arrival Time 1.627
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_18_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_18_s0/CLK
1.510 0.141 tC2Q RF 1 R11C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_18_s0/Q
1.627 0.117 tNET FF 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[2]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew 0.005
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.117, 45.349%; tC2Q: 0.141, 54.651%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path4

Path Summary:

Slack 0.216
Data Arrival Time 1.627
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_16_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_16_s0/CLK
1.510 0.141 tC2Q RF 1 R11C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_16_s0/Q
1.627 0.117 tNET FF 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[0]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew 0.005
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.117, 45.349%; tC2Q: 0.141, 54.651%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path5

Path Summary:

Slack 0.249
Data Arrival Time 1.664
Data Required Time 1.415
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_14_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.365 0.690 tNET RR 1 R11C19[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_14_s0/CLK
1.507 0.141 tC2Q RF 1 R11C19[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_14_s0/Q
1.664 0.158 tNET FF 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[14]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.703 tNET RR 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA
1.415 0.037 tHld 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Path Statistics:

Clock Skew 0.013
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.469%; route: 0.690, 50.531%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.158, 52.843%; tC2Q: 0.141, 47.157%
Required Clock Path Delay cell: 0.675, 49.003%; route: 0.703, 50.997%

Path6

Path Summary:

Slack 0.249
Data Arrival Time 1.664
Data Required Time 1.415
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_13_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.365 0.690 tNET RR 1 R11C19[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_13_s0/CLK
1.507 0.141 tC2Q RF 1 R11C19[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_13_s0/Q
1.664 0.158 tNET FF 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[13]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.703 tNET RR 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA
1.415 0.037 tHld 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Path Statistics:

Clock Skew 0.013
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.469%; route: 0.690, 50.531%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.158, 52.843%; tC2Q: 0.141, 47.157%
Required Clock Path Delay cell: 0.675, 49.003%; route: 0.703, 50.997%

Path7

Path Summary:

Slack 0.253
Data Arrival Time 1.668
Data Required Time 1.415
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_5_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C18[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_5_s0/CLK
1.510 0.141 tC2Q RF 1 R11C18[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_5_s0/Q
1.668 0.158 tNET FF 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/DI[5]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.703 tNET RR 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA
1.415 0.037 tHld 1 BSRAM_R10[4] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Path Statistics:

Clock Skew 0.009
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.158, 52.843%; tC2Q: 0.141, 47.157%
Required Clock Path Delay cell: 0.675, 49.003%; route: 0.703, 50.997%

Path8

Path Summary:

Slack 0.257
Data Arrival Time 1.668
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_17_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.369 0.694 tNET RR 1 R11C20[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_17_s0/CLK
1.510 0.141 tC2Q RF 1 R11C20[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_17_s0/Q
1.668 0.158 tNET FF 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[1]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew 0.005
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.325%; route: 0.694, 50.675%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.158, 52.843%; tC2Q: 0.141, 47.157%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path9

Path Summary:

Slack 0.261
Data Arrival Time 1.673
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_20_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.374 0.698 tNET RR 1 R11C21[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_20_s0/CLK
1.515 0.141 tC2Q RF 1 R11C21[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/data_reg_20_s0/Q
1.673 0.158 tNET FF 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/DI[4]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew 0.001
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 49.181%; route: 0.698, 50.819%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.158, 52.843%; tC2Q: 0.141, 47.157%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path10

Path Summary:

Slack 0.268
Data Arrival Time 1.679
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.389 0.714 tNET RR 1 R7C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK
1.533 0.144 tC2Q RR 6 R7C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/Q
1.679 0.146 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/ADA[9]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew -0.015
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 48.615%; route: 0.714, 51.385%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.146, 50.345%; tC2Q: 0.144, 49.655%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path11

Path Summary:

Slack 0.270
Data Arrival Time 1.682
Data Required Time 1.411
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.394 0.718 tNET RR 1 R7C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/CLK
1.538 0.144 tC2Q RR 4 R7C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/Q
1.682 0.144 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/ADA[13]

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.375 0.699 tNET RR 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA
1.411 0.037 tHld 1 BSRAM_R10[5] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Path Statistics:

Clock Skew -0.019
Hold Relationship 0.000
Logic Level 1
Arrival Clock Path Delay cell: 0.675, 48.475%; route: 0.718, 51.525%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.144, 50.000%; tC2Q: 0.144, 50.000%
Required Clock Path Delay cell: 0.675, 49.145%; route: 0.699, 50.855%

Path12

Path Summary:

Slack 0.275
Data Arrival Time 1.674
Data Required Time 1.399
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.374 0.698 tNET RR 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/CLK
1.515 0.141 tC2Q RF 5 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/Q
1.521 0.006 tNET FF 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n177_s5/I2
1.674 0.153 tINS FF 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n177_s5/F
1.674 0.000 tNET FF 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.374 0.698 tNET RR 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4/CLK
1.399 0.025 tHld 1 R34C40[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_data_addra_0_s4

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 49.172%; route: 0.698, 50.828%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 49.172%; route: 0.698, 50.828%

Path13

Path Summary:

Slack 0.275
Data Arrival Time 1.679
Data Required Time 1.404
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.379 0.704 tNET RR 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/CLK
1.520 0.141 tC2Q RF 5 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/Q
1.526 0.006 tNET FF 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n128_s5/I3
1.679 0.153 tINS FF 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n128_s5/F
1.679 0.000 tNET FF 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.379 0.704 tNET RR 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1/CLK
1.404 0.025 tHld 1 R35C41[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/cnt_factor_0_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.985%; route: 0.704, 51.015%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 48.985%; route: 0.704, 51.015%

Path14

Path Summary:

Slack 0.275
Data Arrival Time 1.678
Data Required Time 1.403
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.702 tNET RR 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/CLK
1.519 0.141 tC2Q RF 2 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/Q
1.525 0.006 tNET FF 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n345_s0/I0
1.678 0.153 tINS FF 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n345_s0/F
1.678 0.000 tNET FF 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.378 0.702 tNET RR 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1/CLK
1.403 0.025 tHld 1 R34C37[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_start_2_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 49.029%; route: 0.702, 50.971%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 49.029%; route: 0.702, 50.971%

Path15

Path Summary:

Slack 0.275
Data Arrival Time 1.674
Data Required Time 1.399
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.374 0.698 tNET RR 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/CLK
1.515 0.141 tC2Q RF 2 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/Q
1.521 0.006 tNET FF 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n326_s2/I2
1.674 0.153 tINS FF 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n326_s2/F
1.674 0.000 tNET FF 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.374 0.698 tNET RR 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1/CLK
1.399 0.025 tHld 1 R16C34[0][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addrb_limit_3_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 49.172%; route: 0.698, 50.828%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 49.172%; route: 0.698, 50.828%

Path16

Path Summary:

Slack 0.275
Data Arrival Time 1.669
Data Required Time 1.393
From Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1
To Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.368 0.693 tNET RR 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/CLK
1.510 0.141 tC2Q RF 33 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/Q
1.516 0.006 tNET FF 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n257_s1/I0
1.669 0.153 tINS FF 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/n257_s1/F
1.669 0.000 tNET FF 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.368 0.693 tNET RR 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1/CLK
1.393 0.025 tHld 1 R32C38[1][A] Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/ram_shift_addra_2_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 49.361%; route: 0.693, 50.639%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 49.361%; route: 0.693, 50.639%

Path17

Path Summary:

Slack 0.275
Data Arrival Time 1.695
Data Required Time 1.420
From gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/CLK
1.536 0.141 tC2Q RF 6 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/Q
1.542 0.006 tNET FF 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/n239_s2/I1
1.695 0.153 tINS FF 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/n239_s2/F
1.695 0.000 tNET FF 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/CLK
1.420 0.025 tHld 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path18

Path Summary:

Slack 0.275
Data Arrival Time 1.691
Data Required Time 1.416
From gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1
To gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/CLK
1.532 0.141 tC2Q RF 3 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/Q
1.538 0.006 tNET FF 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/n1640_s1/I2
1.691 0.153 tINS FF 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/n1640_s1/F
1.691 0.000 tNET FF 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/CLK
1.416 0.025 tHld 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path19

Path Summary:

Slack 0.275
Data Arrival Time 1.695
Data Required Time 1.420
From gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1
To gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/CLK
1.536 0.141 tC2Q RF 4 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/Q
1.542 0.006 tNET FF 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/n1600_s1/I2
1.695 0.153 tINS FF 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/n1600_s1/F
1.695 0.000 tNET FF 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/CLK
1.420 0.025 tHld 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path20

Path Summary:

Slack 0.275
Data Arrival Time 2.737
Data Required Time 2.462
From gw_gao_inst_0/u_la0_top/address_counter_4_s0
To gw_gao_inst_0/u_la0_top/address_counter_4_s0
Launch Clk tck_pad_i:[R]
Latch Clk tck_pad_i:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.437 1.086 tNET RR 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/address_counter_4_s0/CLK
2.578 0.141 tC2Q RF 6 R2C21[0][A] gw_gao_inst_0/u_la0_top/address_counter_4_s0/Q
2.584 0.006 tNET FF 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/data_to_addr_counter_4_s0/I1
2.737 0.153 tINS FF 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/data_to_addr_counter_4_s0/F
2.737 0.000 tNET FF 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/address_counter_4_s0/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.437 1.086 tNET RR 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/address_counter_4_s0/CLK
2.462 0.025 tHld 1 R2C21[0][A] gw_gao_inst_0/u_la0_top/address_counter_4_s0

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 1.351, 55.443%; route: 1.086, 44.557%
Arrival Data Path Delay cell: 0.153, 51.000%; route: 0.006, 2.000%; tC2Q: 0.141, 47.000%
Required Clock Path Delay cell: 1.351, 55.443%; route: 1.086, 44.557%

Path21

Path Summary:

Slack 0.278
Data Arrival Time 2.706
Data Required Time 2.428
From gw_gao_inst_0/u_la0_top/bit_count_4_s1
To gw_gao_inst_0/u_la0_top/bit_count_4_s1
Launch Clk tck_pad_i:[R]
Latch Clk tck_pad_i:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.403 1.052 tNET RR 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/bit_count_4_s1/CLK
2.544 0.141 tC2Q RF 6 R31C21[0][A] gw_gao_inst_0/u_la0_top/bit_count_4_s1/Q
2.553 0.009 tNET FF 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/n523_s2/I0
2.706 0.153 tINS FF 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/n523_s2/F
2.706 0.000 tNET FF 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/bit_count_4_s1/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.403 1.052 tNET RR 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/bit_count_4_s1/CLK
2.428 0.025 tHld 1 R31C21[0][A] gw_gao_inst_0/u_la0_top/bit_count_4_s1

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 1.351, 56.221%; route: 1.052, 43.779%
Arrival Data Path Delay cell: 0.153, 50.495%; route: 0.009, 2.970%; tC2Q: 0.141, 46.535%
Required Clock Path Delay cell: 1.351, 56.221%; route: 1.052, 43.779%

Path22

Path Summary:

Slack 0.278
Data Arrival Time 2.744
Data Required Time 2.466
From gw_gao_inst_0/u_la0_top/address_counter_7_s0
To gw_gao_inst_0/u_la0_top/address_counter_7_s0
Launch Clk tck_pad_i:[R]
Latch Clk tck_pad_i:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.441 1.090 tNET RR 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/address_counter_7_s0/CLK
2.582 0.141 tC2Q RF 5 R2C20[0][A] gw_gao_inst_0/u_la0_top/address_counter_7_s0/Q
2.591 0.009 tNET FF 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/data_to_addr_counter_7_s0/I1
2.744 0.153 tINS FF 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/data_to_addr_counter_7_s0/F
2.744 0.000 tNET FF 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/address_counter_7_s0/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 tck_pad_i
0.000 0.000 tCL RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/I
0.675 0.675 tINS RR 1 IOR1[A] gw_gao_inst_0/tck_ibuf/O
0.675 0.000 tNET RR 1 R28C89 gw_gao_inst_0/u_gw_jtag/tck_pad_i
1.351 0.675 tINS RR 225 R28C89 gw_gao_inst_0/u_gw_jtag/tck_o
2.441 1.090 tNET RR 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/address_counter_7_s0/CLK
2.466 0.025 tHld 1 R2C20[0][A] gw_gao_inst_0/u_la0_top/address_counter_7_s0

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 1.351, 55.352%; route: 1.090, 44.648%
Arrival Data Path Delay cell: 0.153, 50.495%; route: 0.009, 2.970%; tC2Q: 0.141, 46.535%
Required Clock Path Delay cell: 1.351, 55.352%; route: 1.090, 44.648%

Path23

Path Summary:

Slack 0.278
Data Arrival Time 1.700
Data Required Time 1.422
From sim_output_storage_inst/ram_dout_addrb_1_s3
To sim_output_storage_inst/ram_dout_addrb_1_s3
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R3C34[0][A] sim_output_storage_inst/ram_dout_addrb_1_s3/CLK
1.538 0.141 tC2Q RF 6 R3C34[0][A] sim_output_storage_inst/ram_dout_addrb_1_s3/Q
1.547 0.009 tNET FF 1 R3C34[0][A] sim_output_storage_inst/n169_s4/I3
1.700 0.153 tINS FF 1 R3C34[0][A] sim_output_storage_inst/n169_s4/F
1.700 0.000 tNET FF 1 R3C34[0][A] sim_output_storage_inst/ram_dout_addrb_1_s3/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R3C34[0][A] sim_output_storage_inst/ram_dout_addrb_1_s3/CLK
1.422 0.025 tHld 1 R3C34[0][A] sim_output_storage_inst/ram_dout_addrb_1_s3

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%
Arrival Data Path Delay cell: 0.153, 50.495%; route: 0.009, 2.970%; tC2Q: 0.141, 46.535%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path24

Path Summary:

Slack 0.278
Data Arrival Time 1.704
Data Required Time 1.426
From sim_output_storage_inst/ram_dout_addrb_7_s3
To sim_output_storage_inst/ram_dout_addrb_7_s3
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.401 0.725 tNET RR 1 R3C33[1][A] sim_output_storage_inst/ram_dout_addrb_7_s3/CLK
1.542 0.141 tC2Q RF 4 R3C33[1][A] sim_output_storage_inst/ram_dout_addrb_7_s3/Q
1.551 0.009 tNET FF 1 R3C33[1][A] sim_output_storage_inst/n163_s5/I3
1.704 0.153 tINS FF 1 R3C33[1][A] sim_output_storage_inst/n163_s5/F
1.704 0.000 tNET FF 1 R3C33[1][A] sim_output_storage_inst/ram_dout_addrb_7_s3/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.401 0.725 tNET RR 1 R3C33[1][A] sim_output_storage_inst/ram_dout_addrb_7_s3/CLK
1.426 0.025 tHld 1 R3C33[1][A] sim_output_storage_inst/ram_dout_addrb_7_s3

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.233%; route: 0.725, 51.767%
Arrival Data Path Delay cell: 0.153, 50.495%; route: 0.009, 2.970%; tC2Q: 0.141, 46.535%
Required Clock Path Delay cell: 0.675, 48.233%; route: 0.725, 51.767%

Path25

Path Summary:

Slack 0.278
Data Arrival Time 1.705
Data Required Time 1.427
From sim_output_storage_inst/cnt_chn_0_s0
To sim_output_storage_inst/cnt_chn_0_s0
Launch Clk clk:[R]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.402 0.727 tNET RR 1 R2C33[0][A] sim_output_storage_inst/cnt_chn_0_s0/CLK
1.543 0.141 tC2Q RF 6 R2C33[0][A] sim_output_storage_inst/cnt_chn_0_s0/Q
1.552 0.009 tNET FF 1 R2C33[0][A] sim_output_storage_inst/n47_s3/I0
1.705 0.153 tINS FF 1 R2C33[0][A] sim_output_storage_inst/n47_s3/F
1.705 0.000 tNET FF 1 R2C33[0][A] sim_output_storage_inst/cnt_chn_0_s0/D

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.402 0.727 tNET RR 1 R2C33[0][A] sim_output_storage_inst/cnt_chn_0_s0/CLK
1.427 0.025 tHld 1 R2C33[0][A] sim_output_storage_inst/cnt_chn_0_s0

Path Statistics:

Clock Skew 0.000
Hold Relationship 0.000
Logic Level 2
Arrival Clock Path Delay cell: 0.675, 48.173%; route: 0.727, 51.827%
Arrival Data Path Delay cell: 0.153, 50.495%; route: 0.009, 2.970%; tC2Q: 0.141, 46.535%
Required Clock Path Delay cell: 0.675, 48.173%; route: 0.727, 51.827%

Recovery Analysis Report

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

Path1

Path Summary:

Slack 2.877
Data Arrival Time 9.404
Data Required Time 12.281
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_3_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.404 1.308 tNET FF 1 R6C19[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.629 1.946 tNET RR 1 R6C19[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_3_s1/CLK
12.281 -0.347 tSu 1 R6C19[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_3_s1

Path Statistics:

Clock Skew -0.025
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.308, 74.723%; tC2Q: 0.442, 25.277%
Required Clock Path Delay cell: 0.683, 25.963%; route: 1.946, 74.037%

Path2

Path Summary:

Slack 2.878
Data Arrival Time 9.413
Data Required Time 12.291
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_0_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R6C20[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_0_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R6C20[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_0_s1/CLK
12.291 -0.347 tSu 1 R6C20[3][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_0_s1

Path Statistics:

Clock Skew -0.015
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path3

Path Summary:

Slack 2.878
Data Arrival Time 9.413
Data Required Time 12.291
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_1_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R6C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_1_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R6C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_1_s1/CLK
12.291 -0.347 tSu 1 R6C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_1_s1

Path Statistics:

Clock Skew -0.015
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path4

Path Summary:

Slack 2.878
Data Arrival Time 9.413
Data Required Time 12.291
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_2_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R6C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R6C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_2_s1/CLK
12.291 -0.347 tSu 1 R6C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_2_s1

Path Statistics:

Clock Skew -0.015
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path5

Path Summary:

Slack 2.878
Data Arrival Time 9.413
Data Required Time 12.291
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_6_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R6C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_6_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.638 1.956 tNET RR 1 R6C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_6_s1/CLK
12.291 -0.347 tSu 1 R6C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_6_s1

Path Statistics:

Clock Skew -0.015
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.871%; route: 1.956, 74.129%

Path6

Path Summary:

Slack 2.885
Data Arrival Time 9.413
Data Required Time 12.298
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_8_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R3C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_8_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.646 1.963 tNET RR 1 R3C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_8_s1/CLK
12.298 -0.347 tSu 1 R3C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_8_s1

Path Statistics:

Clock Skew -0.008
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.797%; route: 1.963, 74.203%

Path7

Path Summary:

Slack 2.885
Data Arrival Time 9.413
Data Required Time 12.298
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_2_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R3C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_2_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.646 1.963 tNET RR 1 R3C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_2_s1/CLK
12.298 -0.347 tSu 1 R3C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_2_s1

Path Statistics:

Clock Skew -0.008
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.797%; route: 1.963, 74.203%

Path8

Path Summary:

Slack 2.885
Data Arrival Time 9.413
Data Required Time 12.298
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_1_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.413 1.317 tNET FF 1 R3C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_1_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.646 1.963 tNET RR 1 R3C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_1_s1/CLK
12.298 -0.347 tSu 1 R3C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_1_s1

Path Statistics:

Clock Skew -0.008
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.317, 74.849%; tC2Q: 0.442, 25.151%
Required Clock Path Delay cell: 0.683, 25.797%; route: 1.963, 74.203%

Path9

Path Summary:

Slack 2.900
Data Arrival Time 9.400
Data Required Time 12.300
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_7_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.400 1.304 tNET FF 1 R6C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_7_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R6C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_7_s1/CLK
12.300 -0.347 tSu 1 R6C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_7_s1

Path Statistics:

Clock Skew -0.006
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.304, 74.665%; tC2Q: 0.442, 25.335%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path10

Path Summary:

Slack 2.900
Data Arrival Time 9.400
Data Required Time 12.300
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_8_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.400 1.304 tNET FF 1 R6C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.648 1.965 tNET RR 1 R6C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_8_s1/CLK
12.300 -0.347 tSu 1 R6C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_8_s1

Path Statistics:

Clock Skew -0.006
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.304, 74.665%; tC2Q: 0.442, 25.335%
Required Clock Path Delay cell: 0.683, 25.779%; route: 1.965, 74.221%

Path11

Path Summary:

Slack 2.903
Data Arrival Time 9.404
Data Required Time 12.307
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_9_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.404 1.308 tNET FF 1 R3C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_9_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.655 1.972 tNET RR 1 R3C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_9_s1/CLK
12.307 -0.347 tSu 1 R3C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_9_s1

Path Statistics:

Clock Skew 0.002
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.308, 74.723%; tC2Q: 0.442, 25.277%
Required Clock Path Delay cell: 0.683, 25.706%; route: 1.972, 74.294%

Path12

Path Summary:

Slack 3.066
Data Arrival Time 9.220
Data Required Time 12.286
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_loop_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C19[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_loop_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.634 1.951 tNET RR 1 R4C19[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_loop_s1/CLK
12.286 -0.347 tSu 1 R4C19[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_loop_s1

Path Statistics:

Clock Skew -0.020
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.914%; route: 1.951, 74.086%

Path13

Path Summary:

Slack 3.066
Data Arrival Time 9.220
Data Required Time 12.286
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_wr_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C19[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.634 1.951 tNET RR 1 R4C19[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_wr_s1/CLK
12.286 -0.347 tSu 1 R4C19[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_wr_s1

Path Statistics:

Clock Skew -0.020
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.914%; route: 1.951, 74.086%

Path14

Path Summary:

Slack 3.066
Data Arrival Time 9.220
Data Required Time 12.286
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_end_dly_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C19[1][B] gw_gao_inst_0/u_la0_top/capture_end_dly_s0/PRESET

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.634 1.951 tNET RR 1 R4C19[1][B] gw_gao_inst_0/u_la0_top/capture_end_dly_s0/CLK
12.286 -0.347 tSu 1 R4C19[1][B] gw_gao_inst_0/u_la0_top/capture_end_dly_s0

Path Statistics:

Clock Skew -0.020
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.914%; route: 1.951, 74.086%

Path15

Path Summary:

Slack 3.075
Data Arrival Time 9.220
Data Required Time 12.296
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.643 1.961 tNET RR 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1/CLK
12.296 -0.347 tSu 1 R4C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_0_s1

Path Statistics:

Clock Skew -0.010
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.822%; route: 1.961, 74.178%

Path16

Path Summary:

Slack 3.075
Data Arrival Time 9.220
Data Required Time 12.296
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_4_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C20[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_4_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.643 1.961 tNET RR 1 R4C20[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_4_s1/CLK
12.296 -0.347 tSu 1 R4C20[1][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_4_s1

Path Statistics:

Clock Skew -0.010
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.822%; route: 1.961, 74.178%

Path17

Path Summary:

Slack 3.075
Data Arrival Time 9.220
Data Required Time 12.296
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_5_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C20[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_5_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.643 1.961 tNET RR 1 R4C20[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_5_s1/CLK
12.296 -0.347 tSu 1 R4C20[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_5_s1

Path Statistics:

Clock Skew -0.010
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.822%; route: 1.961, 74.178%

Path18

Path Summary:

Slack 3.075
Data Arrival Time 9.220
Data Required Time 12.296
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_6_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_6_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.643 1.961 tNET RR 1 R4C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_6_s1/CLK
12.296 -0.347 tSu 1 R4C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_6_s1

Path Statistics:

Clock Skew -0.010
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.822%; route: 1.961, 74.178%

Path19

Path Summary:

Slack 3.075
Data Arrival Time 9.220
Data Required Time 12.296
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_7_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_7_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.643 1.961 tNET RR 1 R4C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_7_s1/CLK
12.296 -0.347 tSu 1 R4C20[2][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_7_s1

Path Statistics:

Clock Skew -0.010
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.822%; route: 1.961, 74.178%

Path20

Path Summary:

Slack 3.081
Data Arrival Time 9.208
Data Required Time 12.288
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_4_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.208 1.112 tNET FF 1 R7C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_4_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.636 1.953 tNET RR 1 R7C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_4_s1/CLK
12.288 -0.347 tSu 1 R7C20[0][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_4_s1

Path Statistics:

Clock Skew -0.018
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.112, 71.526%; tC2Q: 0.442, 28.474%
Required Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%

Path21

Path Summary:

Slack 3.081
Data Arrival Time 9.208
Data Required Time 12.288
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.208 1.112 tNET FF 1 R7C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.636 1.953 tNET RR 1 R7C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1/CLK
12.288 -0.347 tSu 1 R7C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_5_s1

Path Statistics:

Clock Skew -0.018
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.112, 71.526%; tC2Q: 0.442, 28.474%
Required Clock Path Delay cell: 0.683, 25.895%; route: 1.953, 74.105%

Path22

Path Summary:

Slack 3.085
Data Arrival Time 9.220
Data Required Time 12.305
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_3_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_3_s1/PRESET

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.653 1.970 tNET RR 1 R4C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_3_s1/CLK
12.305 -0.347 tSu 1 R4C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_length_3_s1

Path Statistics:

Clock Skew -0.001
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.730%; route: 1.970, 74.270%

Path23

Path Summary:

Slack 3.085
Data Arrival Time 9.220
Data Required Time 12.305
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_addr_inc_en_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.220 1.124 tNET FF 1 R4C21[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.653 1.970 tNET RR 1 R4C21[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_addr_inc_en_s1/CLK
12.305 -0.347 tSu 1 R4C21[2][A] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_addr_inc_en_s1

Path Statistics:

Clock Skew -0.001
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.124, 71.759%; tC2Q: 0.442, 28.241%
Required Clock Path Delay cell: 0.683, 25.730%; route: 1.970, 74.270%

Path24

Path Summary:

Slack 3.090
Data Arrival Time 9.208
Data Required Time 12.298
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
9.208 1.112 tNET FF 1 R7C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.645 1.962 tNET RR 1 R7C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1/CLK
12.298 -0.347 tSu 1 R7C21[1][B] gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/capture_mem_addr_9_s1

Path Statistics:

Clock Skew -0.008
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 1.112, 71.526%; tC2Q: 0.442, 28.474%
Required Clock Path Delay cell: 0.683, 25.803%; route: 1.962, 74.197%

Path25

Path Summary:

Slack 3.346
Data Arrival Time 8.906
Data Required Time 12.252
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_match_0/trig_dly_in_0_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.688 0.688 tINS FF 3458 IOB29[A] clk_ibuf/O
7.653 1.966 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
8.096 0.442 tC2Q FF 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
8.906 0.810 tNET FF 1 R12C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/trig_dly_in_0_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
10.000 10.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
10.682 0.683 tINS RR 3458 IOB29[A] clk_ibuf/O
12.600 1.917 tNET RR 1 R12C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/trig_dly_in_0_s0/CLK
12.252 -0.347 tSu 1 R12C21[0][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/trig_dly_in_0_s0

Path Statistics:

Clock Skew -0.054
Setup Relationship 5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.688, 25.910%; route: 1.966, 74.090%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.810, 64.671%; tC2Q: 0.442, 35.329%
Required Clock Path Delay cell: 0.683, 26.253%; route: 1.917, 73.747%

Removal Analysis Report

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

Path1

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_0_s3
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_0_s3/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_0_s3/CLK
1.344 -0.053 tHld 1 R21C20[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_0_s3

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path2

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_1_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_1_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_1_s1/CLK
1.344 -0.053 tHld 1 R21C20[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_1_s1

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path3

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_2_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_2_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_2_s1/CLK
1.344 -0.053 tHld 1 R21C20[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_2_s1

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path4

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_3_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[2][A] gw_gao_inst_0/u_la0_top/capture_window_sel_3_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[2][A] gw_gao_inst_0/u_la0_top/capture_window_sel_3_s1/CLK
1.344 -0.053 tHld 1 R21C20[2][A] gw_gao_inst_0/u_la0_top/capture_window_sel_3_s1

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path5

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_7_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[2][B] gw_gao_inst_0/u_la0_top/capture_window_sel_7_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[2][B] gw_gao_inst_0/u_la0_top/capture_window_sel_7_s1/CLK
1.344 -0.053 tHld 1 R21C20[2][B] gw_gao_inst_0/u_la0_top/capture_window_sel_7_s1

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path6

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_8_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[3][A] gw_gao_inst_0/u_la0_top/capture_window_sel_8_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[3][A] gw_gao_inst_0/u_la0_top/capture_window_sel_8_s1/CLK
1.344 -0.053 tHld 1 R21C20[3][A] gw_gao_inst_0/u_la0_top/capture_window_sel_8_s1

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path7

Path Summary:

Slack 5.436
Data Arrival Time 6.780
Data Required Time 1.344
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/start_reg_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R21C20[0][A] gw_gao_inst_0/u_la0_top/start_reg_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.397 0.721 tNET RR 1 R21C20[0][A] gw_gao_inst_0/u_la0_top/start_reg_s0/CLK
1.344 -0.053 tHld 1 R21C20[0][A] gw_gao_inst_0/u_la0_top/start_reg_s0

Path Statistics:

Clock Skew -0.009
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.371%; route: 0.721, 51.629%

Path8

Path Summary:

Slack 5.438
Data Arrival Time 6.780
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/triger_level_cnt_3_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R22C20[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_3_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C20[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_3_s1/CLK
1.342 -0.053 tHld 1 R22C20[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_3_s1

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path9

Path Summary:

Slack 5.438
Data Arrival Time 6.780
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_start_dly_0_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R22C20[2][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_0_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C20[2][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_0_s0/CLK
1.342 -0.053 tHld 1 R22C20[2][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_0_s0

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path10

Path Summary:

Slack 5.438
Data Arrival Time 6.780
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_start_syn_1_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.780 0.216 tNET RR 1 R22C20[3][A] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_1_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C20[3][A] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_1_s0/CLK
1.342 -0.053 tHld 1 R22C20[3][A] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_1_s0

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.216, 57.754%; tC2Q: 0.158, 42.246%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path11

Path Summary:

Slack 5.438
Data Arrival Time 6.778
Data Required Time 1.339
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/trigger_seq_start_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R21C19[1][A] gw_gao_inst_0/u_la0_top/trigger_seq_start_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.392 0.717 tNET RR 1 R21C19[1][A] gw_gao_inst_0/u_la0_top/trigger_seq_start_s1/CLK
1.339 -0.053 tHld 1 R21C19[1][A] gw_gao_inst_0/u_la0_top/trigger_seq_start_s1

Path Statistics:

Clock Skew -0.013
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.510%; route: 0.717, 51.490%

Path12

Path Summary:

Slack 5.438
Data Arrival Time 6.778
Data Required Time 1.339
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/triger_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R21C19[0][B] gw_gao_inst_0/u_la0_top/triger_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.392 0.717 tNET RR 1 R21C19[0][B] gw_gao_inst_0/u_la0_top/triger_s0/CLK
1.339 -0.053 tHld 1 R21C19[0][B] gw_gao_inst_0/u_la0_top/triger_s0

Path Statistics:

Clock Skew -0.013
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.510%; route: 0.717, 51.490%

Path13

Path Summary:

Slack 5.438
Data Arrival Time 6.778
Data Required Time 1.339
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_start_dly_1_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R21C19[0][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_1_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.392 0.717 tNET RR 1 R21C19[0][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_1_s0/CLK
1.339 -0.053 tHld 1 R21C19[0][A] gw_gao_inst_0/u_la0_top/internal_reg_start_dly_1_s0

Path Statistics:

Clock Skew -0.013
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.510%; route: 0.717, 51.490%

Path14

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_4_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_4_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_4_s1/CLK
1.338 -0.053 tHld 1 R22C19[0][B] gw_gao_inst_0/u_la0_top/capture_window_sel_4_s1

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path15

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_5_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_5_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_5_s1/CLK
1.338 -0.053 tHld 1 R22C19[1][A] gw_gao_inst_0/u_la0_top/capture_window_sel_5_s1

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path16

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_6_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_6_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_6_s1/CLK
1.338 -0.053 tHld 1 R22C19[1][B] gw_gao_inst_0/u_la0_top/capture_window_sel_6_s1

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path17

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1/CLK
1.338 -0.053 tHld 1 R22C19[0][A] gw_gao_inst_0/u_la0_top/capture_window_sel_9_s1

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path18

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_0_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[3][A] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_0_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[3][A] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_0_s0/CLK
1.338 -0.053 tHld 1 R22C19[3][A] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_0_s0

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path19

Path Summary:

Slack 5.440
Data Arrival Time 6.778
Data Required Time 1.338
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_1_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.778 0.214 tNET RR 1 R22C19[2][B] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_1_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.391 0.715 tNET RR 1 R22C19[2][B] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_1_s0/CLK
1.338 -0.053 tHld 1 R22C19[2][B] gw_gao_inst_0/u_la0_top/internal_reg_force_triger_syn_1_s0

Path Statistics:

Clock Skew -0.015
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.214, 57.527%; tC2Q: 0.158, 42.473%
Required Clock Path Delay cell: 0.675, 48.571%; route: 0.715, 51.429%

Path20

Path Summary:

Slack 5.537
Data Arrival Time 6.878
Data Required Time 1.340
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_match_0/match_sep_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.878 0.314 tNET RR 1 R23C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_sep_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.393 0.718 tNET RR 1 R23C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_sep_s0/CLK
1.340 -0.053 tHld 1 R23C20[2][A] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_sep_s0

Path Statistics:

Clock Skew -0.012
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.314, 66.525%; tC2Q: 0.158, 33.475%
Required Clock Path Delay cell: 0.675, 48.492%; route: 0.718, 51.508%

Path21

Path Summary:

Slack 5.537
Data Arrival Time 6.878
Data Required Time 1.340
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/u_ao_match_0/match_bitwise_pre_reg_0_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.878 0.314 tNET RR 1 R23C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_bitwise_pre_reg_0_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.393 0.718 tNET RR 1 R23C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_bitwise_pre_reg_0_s0/CLK
1.340 -0.053 tHld 1 R23C20[0][B] gw_gao_inst_0/u_la0_top/u_ao_match_0/match_bitwise_pre_reg_0_s0

Path Statistics:

Clock Skew -0.012
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.314, 66.525%; tC2Q: 0.158, 33.475%
Required Clock Path Delay cell: 0.675, 48.492%; route: 0.718, 51.508%

Path22

Path Summary:

Slack 5.638
Data Arrival Time 6.984
Data Required Time 1.346
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/internal_reg_start_syn_0_s0
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.984 0.420 tNET RR 1 R22C21[2][B] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_0_s0/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.399 0.723 tNET RR 1 R22C21[2][B] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_0_s0/CLK
1.346 -0.053 tHld 1 R22C21[2][B] gw_gao_inst_0/u_la0_top/internal_reg_start_syn_0_s0

Path Statistics:

Clock Skew -0.007
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.420, 72.664%; tC2Q: 0.158, 27.336%
Required Clock Path Delay cell: 0.675, 48.293%; route: 0.723, 51.707%

Path23

Path Summary:

Slack 5.644
Data Arrival Time 6.985
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/triger_level_cnt_0_s3
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.985 0.422 tNET RR 1 R22C18[0][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_0_s3/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C18[0][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_0_s3/CLK
1.342 -0.053 tHld 1 R22C18[0][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_0_s3

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.422, 72.759%; tC2Q: 0.158, 27.241%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path24

Path Summary:

Slack 5.644
Data Arrival Time 6.985
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/triger_level_cnt_1_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.985 0.422 tNET RR 1 R22C18[3][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_1_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C18[3][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_1_s1/CLK
1.342 -0.053 tHld 1 R22C18[3][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_1_s1

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.422, 72.759%; tC2Q: 0.158, 27.241%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Path25

Path Summary:

Slack 5.644
Data Arrival Time 6.985
Data Required Time 1.342
From gw_gao_inst_0/u_la0_top/rst_ao_s0
To gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1
Launch Clk clk:[F]
Latch Clk clk:[R]

Data Arrival Path:

AT DELAY TYPE RF FANOUT LOC NODE
5.000 5.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF 1 IOB29[A] clk_ibuf/I
5.677 0.678 tINS FF 3458 IOB29[A] clk_ibuf/O
6.405 0.728 tNET FF 1 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/CLK
6.563 0.158 tC2Q FR 53 R20C20[0][B] gw_gao_inst_0/u_la0_top/rst_ao_s0/Q
6.985 0.422 tNET RR 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/CLEAR

Data Required Path:

AT DELAY TYPE RF FANOUT LOC NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR 1 IOB29[A] clk_ibuf/I
0.675 0.675 tINS RR 3458 IOB29[A] clk_ibuf/O
1.395 0.719 tNET RR 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1/CLK
1.342 -0.053 tHld 1 R22C18[1][A] gw_gao_inst_0/u_la0_top/triger_level_cnt_2_s1

Path Statistics:

Clock Skew -0.011
Hold Relationship -5.000
Logic Level 1
Arrival Clock Path Delay cell: 0.678, 48.203%; route: 0.728, 51.797%
Arrival Data Path Delay cell: 0.000, 0.000%; route: 0.422, 72.759%; tC2Q: 0.158, 27.241%
Required Clock Path Delay cell: 0.675, 48.432%; route: 0.719, 51.568%

Minimum Pulse Width Report:

Report Command:report_min_pulse_width -nworst 10 -detail

MPW1

MPW Summary:

Slack: 2.751
Actual Width: 3.751
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.627 1.940 tNET FF gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.378 0.703 tNET RR gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA

MPW2

MPW Summary:

Slack: 2.754
Actual Width: 3.754
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.628 1.940 tNET FF Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1/CLK[0]

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.382 0.706 tNET RR Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1/CLK[0]

MPW3

MPW Summary:

Slack: 2.757
Actual Width: 3.757
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.618 1.930 tNET FF gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.375 0.699 tNET RR gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_1_s/CLKA

MPW4

MPW Summary:

Slack: 2.757
Actual Width: 3.757
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.618 1.930 tNET FF sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s/CLKB

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.375 0.699 tNET RR sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s/CLKB

MPW5

MPW Summary:

Slack: 2.757
Actual Width: 3.757
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/coeff_rom_inst/mem_mem_0_0_s

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.618 1.930 tNET FF Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/coeff_rom_inst/mem_mem_0_0_s/CLK

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.375 0.699 tNET RR Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/coeff_rom_inst/mem_mem_0_0_s/CLK

MPW6

MPW Summary:

Slack: 2.759
Actual Width: 3.759
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[1].mult_dsp_inst/n47_s1

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.618 1.931 tNET FF Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[1].mult_dsp_inst/n47_s1/CLK[0]

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.378 0.702 tNET RR Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[1].mult_dsp_inst/n47_s1/CLK[0]

MPW7

MPW Summary:

Slack: 2.762
Actual Width: 3.762
Required Width: 1.000
Type: High Pulse Width
Clock: clk
Objects: gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s

Late clock Path:

AT DELAY TYPE RF NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR clk_ibuf/I
0.683 0.683 tINS RR clk_ibuf/O
2.623 1.941 tNET RR gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA

Early clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.677 0.678 tINS FF clk_ibuf/O
6.385 0.708 tNET FF gw_gao_inst_0/u_la0_top/u_ao_mem_ctrl/mem_mem_0_0_s/CLKA

MPW8

MPW Summary:

Slack: 2.762
Actual Width: 3.762
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.608 1.921 tNET FF sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s/CLKA

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.371 0.695 tNET RR sim_output_storage_inst/spram_dout_inst/mem_mem_0_0_s/CLKA

MPW9

MPW Summary:

Slack: 2.762
Actual Width: 3.762
Required Width: 1.000
Type: High Pulse Width
Clock: clk
Objects: Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1

Late clock Path:

AT DELAY TYPE RF NODE
0.000 0.000 active clock edge time
0.000 0.000 clk
0.000 0.000 tCL RR clk_ibuf/I
0.683 0.683 tINS RR clk_ibuf/O
2.625 1.942 tNET RR Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1/CLK[0]

Early clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.677 0.678 tINS FF clk_ibuf/O
6.387 0.710 tNET FF Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[2].mult_dsp_inst/n47_s1/CLK[0]

MPW10

MPW Summary:

Slack: 2.765
Actual Width: 3.765
Required Width: 1.000
Type: Low Pulse Width
Clock: clk
Objects: Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[0].mult_dsp_inst/n47_s1

Late clock Path:

AT DELAY TYPE RF NODE
5.000 0.000 active clock edge time
5.000 0.000 clk
5.000 0.000 tCL FF clk_ibuf/I
5.688 0.688 tINS FF clk_ibuf/O
7.609 1.921 tNET FF Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[0].mult_dsp_inst/n47_s1/CLK[0]

Early clock Path:

AT DELAY TYPE RF NODE
10.000 0.000 active clock edge time
10.000 0.000 clk
10.000 0.000 tCL RR clk_ibuf/I
10.675 0.675 tINS RR clk_ibuf/O
11.373 0.698 tNET RR Advanced_FIR_Filter_Top_isnt/advanced_fir_filter_inst/fir_decimator_inst/gen_mult_dsp[0].mult_dsp_inst/n47_s1/CLK[0]

High Fanout Nets Report:

Report Command:report_high_fanout_nets -max_nets 10

FANOUT NET NAME WORST SLACK MAX DELAY
3458 clk_d 3.054 1.985
769 delay_shift_addrb[0] 5.109 3.834
385 delay_shift_addrb[1] 5.033 4.225
384 coeff_ram_addrb[0] 6.283 2.607
225 control0[0] 3.755 2.735
193 delay_shift_addrb[2] 5.539 3.853
192 coeff_ram_addrb[1] 6.366 2.824
150 shift_switch_addr[0] 4.515 1.848
97 n1231_8 5.167 1.355
96 coeff_ram_addrb[2] 6.944 2.300

Route Congestions Report:

Report Command:report_route_congestion -max_grids 10

GRID LOC ROUTE CONGESTIONS
R30C24 55.56%
R16C19 54.17%
R23C49 51.39%
R27C31 51.39%
R14C20 51.39%
R23C48 48.61%
R27C29 47.22%
R27C32 47.22%
R13C20 47.22%
R22C20 47.22%

Timing Exceptions Report:

Setup Analysis Report

Report Command:report_exceptions -setup -max_paths 5 -max_common_paths 1

No timing exceptions to report!

Hold Analysis Report

Report Command:report_exceptions -hold -max_paths 5 -max_common_paths 1

No timing exceptions to report!

Recovery Analysis Report

Report Command:report_exceptions -recovery -max_paths 5 -max_common_paths 1

No timing exceptions to report!

Removal Analysis Report

Report Command:report_exceptions -removal -max_paths 5 -max_common_paths 1

No timing exceptions to report!

Timing Constraints Report:

SDC Command Type State Detail Command
TC_CLOCK Actived create_clock -name clk -period 10 -waveform {0 5} [get_ports {clk}]
TC_CLOCK Actived create_clock -name tck_pad_i -period 50 -waveform {0 25} [get_ports {tck_pad_i}]
TC_FALSE_PATH Actived set_false_path -from [get_clocks {clk}] -to [get_clocks {tck_pad_i}]